Memory Latency and Application Performance

Application Performance and Loaded Memory Latency

Application execution times are influenced by many factors, including processor frequency and platform efficiency. For most desktop, workstation and server applications, the memory latency or delay seen by the processor core while running actual workloads (i.e., loaded latency) dominates platform efficiency.

Main memory latency, while often expressed as a simple number, is actually a complex function that is influenced by memory technology, requested and available memory bandwidth, memory access patterns, and the efficiency of the chipset in its scheduling of memory commands. This latency is best expressed as “Throughput vs. Latency” graph that plots the latency seen by the processor when requesting read data from memory against the amount of data being requested by the processor per second. These charts help illustrate the differences in performance between chipsets and memory technology and permit extrapolation of chipset application performance with hypothetical future processor speeds.

In using this analysis, you will find that the superior data and command bandwidth of RDRAM, faster system bus, and the advanced scheduling abilities of the Intel® 820, 840 and 850 chipsets allow delivery of data and instructions to the processor with extremely low latencies under heavy loads. This enables superior application performance.

Arrive here through a search engine? Click here.

About Dewwa Socc

Sahifa Theme License is not validated, Go to the theme options page to validate the license, You need a single license for each domain name.